set_input_delay 之经典图解(2)

2019-04-05 17:52

最后,下面的约束将所有计算合并在一起,为源同步输出加上时序要求。

set period 8.000

create_clock -period $period \\ -name clk_in \\ [get_ports clk_in] derive_pll_clocks

set_output_delay -add_delay \\

-clock ddr_pll_1_inst|altpll_component|pll|CLK[0] \\ -reference_pin [get_ports clk_out] \\ -min -2.000 \\ [get_ports data_out*] set_output_delay -add_delay \\

-clock ddr_pll_1_inst|altpll_component|pll|CLK[0] \\ -reference_pin [get_ports clk_out] \\ -max [expr $period - 3.000] \\ [get_ports data_out*]


set_input_delay 之经典图解(2).doc 将本文的Word文档下载到电脑 下载失败或者文档不完整,请联系客服人员解决!

下一篇:事业单位“双肩挑”人员审批表

相关阅读
本类排行
× 注册会员免费下载(下载后可以自由复制和排版)

马上注册会员

注:下载文档有可能“只有目录或者内容不全”等情况,请下载之前注意辨别,如果您已付费且无法下载或内容有问题,请联系我们协助你处理。
微信: QQ: